summaryrefslogtreecommitdiff
path: root/src/vga.h
diff options
context:
space:
mode:
Diffstat (limited to 'src/vga.h')
-rw-r--r--src/vga.h4
1 files changed, 4 insertions, 0 deletions
diff --git a/src/vga.h b/src/vga.h
index 49fe8f2..a13c148 100644
--- a/src/vga.h
+++ b/src/vga.h
@@ -15,4 +15,8 @@ typedef struct vga_t {
int cursor_y; // current cursor position Y
} vga_t;
+void vga_init( vga_t *vga );
+void vga_clear_screen( vga_t *vga );
+void vga_set_cursor( vga_t *vga, int x, int y );
+
#endif /* VGA_H */